Channel Avatar

Munsif M. Ahmad @UCntxeuZSwvxctHeCTnYIkLw@youtube.com

3.2K subscribers - no pronouns :c

Welcome to our channel, where aspiring VLSI Front-end design


06:16
Verilog FAQ's, verilog code for posedge detector & implementation of latch using 2x1 mux.
24:37
Verilog FAQ's, clock generation in Verilog, abstraction levels, full adder using 2 half adder.
18:42
Repetition Operators w.r.p.t SVA (System Verilog Assertions) SVA VIDEO #07
14:51
Timing Windows w.r.p.t SVA (System Verilog Assertions) SVA VIDEO #06
20:17
Implication Operators w.r.p.t SVA (System Verilog Assertions) SVA VIDEO #05
20:00
Building blocks of SVA (System Verilog Assertions) SVA VIDEO #04
30:16
Built-in System Function in SVA (System Verilog Assertions) SVA VIDEO #03
17:16
Concept of call-backs w.r.p.t sv-uvm (System Verilog Version of UVM) Part-2 (Modified)
12:49
Concept of memory declaration in RAL w.r.p.t System Verilog Version of UVM -- SV-UVM RAL VIDEO #17
16:25
Example of functional coverage for register w.r.p.t SV-UVM RAL -- SV-UVM RAL VIDEO #16
21:01
Example for explicit prediction w.r.p.t SV-UVM RAL -- SV-UVM RAL VIDEO #15
15:03
Explicit prediction w.r.p.t SV-UVM RAL -- SV-UVM RAL VIDEO #14
11:47
reset method w.r.p.t SV-UVM RAL -- SV-UVM RAL VIDEO #13
08:00
randomize method w.r.p.t SV-UVM RAL -- SV-UVM RAL VIDEO #12
09:06
Update method w.r.p.t SV-UVM RAL -- SV-UVM RAL VIDEO #11
16:09
Mirror method w.r.p.t SV-UVM RAL - SV-UVM RAL VIDEO #10
09:02
Predict method in SV-UVM RAL (Register Abstraction Layer) SV-UVM RAL VIDEO #09
21:45
front door write, read methods & backdoor poke, peek methods SV-UVM RAL VIDEO #08
18:22
set, get, get_mirrored_value, and write methods in RAL SV-UVM RAL VIDEO #07
15:11
Transaction, Agent, and Register sequence classes - SV-UVM RAL VIDEO #06
15:07
Concept of an adapter in RAL w.r.p.t System Verilog Version of UVM - SV-UVM RAL VIDEO #05
26:08
Register Abstraction Layer (RAL) SV-UVM RAL VIDEO #04
15:15
Concept of call-backs w.r.p.t sv-uvm
05:41
Array sorting methods w.r.p.t System Verilog
13:40
Can we implement a NOT gate using AND gate?
11:41
Objection mechanism w.r.p.t System Verilog version of UVM
04:04
Design & verification of Protocols using sv-hdl & sv-uvm
12:28
Blocking communication w.r.p.t cocotb
15:01
uvm_subscriber w.r.p.t sv-uvm "FC VIDEO #12"
06:08
Full adder coverage model using System Verilog (Linear TB) "FC VIDEO #11"
16:08
Transition bins w.r.p.t System Verilog functional coverage "FC VIDEO #10"
17:46
Cross coverage w.r.p.t System Verilog Functional Coverage "FC VIDEO #09"
07:36
Sampling methods w.r.p.t System Verilog Functional Coverage "FC VIDEO #08"
08:02
Functional coverage in EDA Playground "FC VIDEO #07"
10:59
Assertion Introduction SVA VIDEO #02
12:04
Use case of ignore_bins with simple FSM "FC VIDEO #06"
09:01
Reusable covergroup w.r.p.t System Verilog Functional Coverage "FC VIDEO #05"
05:52
SVA(System Verilog Assertions) Series highlights SVA VIDEO #01
11:19
ignore_bins and illegal_bins w.r.p.t System Verilog functional coverage "FC VIDEO #04"
14:05
Explicit bins w.r.p.t System Verilog functional coverage "FC VIDEO #03"
14:04
Auto/implicit bins w.r.p.t System Verilog functional coverage "FC VIDEO #02"
10:02
Functional Coverage w.r.p.t System Verilog "FC VIDEO #01"
11:46
Reporting Mechanism/ Logging w.r.p.t pyuvm(Python implementation of the UVM using cocotb)
08:02
Functional coverage in EDA Playground
03:43
python code in an EDA Playground
09:36
Factory Registration macro's w.r.p.t System Verilog version of UVM
43:14
Implementation of Virtual sequencer & Virtual sequence w.r.p.t svuvm
24:03
Verification d(data) flip flop using sv-uvm.
33:33
Verification of combinational adder using sv-uvm
19:35
sequence library w.r.p.t sv-uvm
25:48
Configuration database ConfigDB() and uvm_config_db
15:58
TLM(Transaction Level Modeling) w.r.p.t pyuvm and svuvm.
14:35
Concept of phases w.r.p.t pyuvm and SV-UVM.
15:07
Concept of Factory and Factory Overriding w.r.p.t pyuvm.
07:51
cocotb (COroutine-based COsimulation TestBench) for a simple d flip-flop(Verilog HDL code).
11:27
Introduction to pyuvm(A Python implementation of the UVM using cocotb)
07:38
How to run Cocotb & Python test in EDA Playground.
07:24
How to remove the Deprecation Warning and how to print/read the value of a signal in Cocotb.
02:49
cocotb(COroutine-based COsimulation TestBench) and Python for RTL Verification, Waveform Analysis.
24:52
cocotb(COroutine-based COsimulation TestBench) and Python for RTL Verification.